衡阳派盒市场营销有限公司

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Vivado 工具已更新至2020.1.1 v1.30

FPGA之家 ? 來源:FPGA之家 ? 作者:FPGA之家 ? 2020-09-25 14:58 ? 次閱讀

描述

在《Zynq UltraScale+ MPSoC 數(shù)據(jù)手冊(cè)》(DS925) 中,XAZU7EV-1Q 器件與 XAZU11EG-1Q 器件的最低量產(chǎn)軟件和速度規(guī)格已從 Vivado 工具 2019.1.1 v1.26 更新至 Vivado 工具 2020.1.1 v1.30。

汽車級(jí) Zynq UltraScale+ 器件的速度文件參數(shù)在 2020.1.1 版中已更新,糾正了極端情況下的靜態(tài)時(shí)序問題。

更新包括糾正了 XAZU7EV-1Q 器件和 XAZU11EG-1Q 器件的互連延遲以及速度/溫度等級(jí)。

解決方案

對(duì)于 XAZU7EV-1Q 器件和 XAZU11EG-1Q 器件以及速度/溫度等級(jí)設(shè)計(jì),請(qǐng)使用 Vivado Design Suite 2020.1.1 或更高版本。

對(duì)于使用 Vivado 工具 2019.1.1 - 2020.1 構(gòu)建并已部署的 XAZU7EV-1Q 器件和 XAZU11EG-1Q 器件以及速度/溫度等級(jí)設(shè)計(jì),賽靈思認(rèn)為,根據(jù)賽靈思器件特性,在 Vivado 工具 2019.1.1 - 2020.1 中滿足時(shí)序約束的大部分設(shè)計(jì)都具有足夠的裕度以供在量產(chǎn)器件中正常運(yùn)行。

您可通過以下方式使用 Vivado 工具 2020.1.1 或更高版本來評(píng)估時(shí)序問題對(duì)于您使用 Vivado 工具 2019.1.1 - 2020.1 所構(gòu)建的設(shè)計(jì)產(chǎn)生的影響:在 Vivado 工具 2020.1.1 或更高版本中,對(duì)已完全實(shí)現(xiàn)的設(shè)計(jì)檢查點(diǎn) (.dcp) 文件重新運(yùn)行時(shí)序分析。

評(píng)估步驟:

如果您的比特流是使用 Vivado 工程模式生成的,則必須找到已完全實(shí)現(xiàn)的 .dcp 文件。

通常,已完全實(shí)現(xiàn)的 .dcp 文件應(yīng)位于如下某一路徑中,具體取決于布線后是否已啟用 phys_opt_design。

project_myDesign.runs/impl_1/myDesign_routed.dcp

project_myDesign.runs/impl_1/myDesign_postroute_physopt.dcp

例如,如果已完全實(shí)現(xiàn)的 .dcp 文件為 myDesign_routed.dcp,則上述命令應(yīng)如下所示:

#Open the final dcp for the finished design open_checkpoint project_myDesign.runs/impl_1/myDesign_routed.dcp #Report timing report_timing_summary -file myDesign_timing_summary_routed.rpt

如果出現(xiàn)時(shí)序違例,則必須在 Vivado 工具 2020.1.1 或更高版本中對(duì)設(shè)計(jì)進(jìn)行重新編譯以達(dá)成時(shí)序收斂。

用戶可以重新運(yùn)行 route_design 步驟,或者也可以重新運(yùn)行完整的實(shí)現(xiàn)過程。

原文標(biāo)題:面向 XAZU7EV-1Q 器件和 XAZU11EG-1Q 器件的 Vivado 2020.1.1 量產(chǎn)速度文件更新

文章出處:【微信公眾號(hào):FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

責(zé)任編輯:haq

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • Vivado
    +關(guān)注

    關(guān)注

    19

    文章

    815

    瀏覽量

    66893

原文標(biāo)題:面向 XAZU7EV-1Q 器件和 XAZU11EG-1Q 器件的 Vivado 2020.1.1 量產(chǎn)速度文件更新

文章出處:【微信號(hào):zhuyandz,微信公眾號(hào):FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    hyper v 工具,hyper v 工具有哪些呢

    了全新的變革。今天就為大家介紹hyperv工具有哪些呢。 ? ?Hyper-V提供了一系列工具和功能,用于管理和優(yōu)化虛擬化環(huán)境。以下是常見的Hyper-V
    的頭像 發(fā)表于 01-22 15:54 ?161次閱讀
    hyper <b class='flag-5'>v</b> <b class='flag-5'>工具</b>,hyper <b class='flag-5'>v</b> <b class='flag-5'>工具</b>有哪些呢

    MES工具更新信息 04/2024

    我們剛剛發(fā)布了最新的MES模賽思工具更新。MXAM、MoRe和MQC的最新版本已經(jīng)為您下載安裝做好了準(zhǔn)備。 下面列出了工具亮點(diǎn)的簡(jiǎn)要概述和發(fā)行說明。同時(shí)也歡迎您前往官網(wǎng)查看我們的新功能演示視頻,我們的開發(fā)團(tuán)隊(duì)為您詳細(xì)介紹并演示了
    的頭像 發(fā)表于 11-13 14:46 ?297次閱讀
    MES<b class='flag-5'>工具</b><b class='flag-5'>更新</b>信息 04/2024

    希姆計(jì)算的RISC-V矩陣擴(kuò)展開源項(xiàng)目升級(jí)0.5版本,支持向量+矩陣的實(shí)現(xiàn)

    希姆計(jì)算的RISC-V矩陣擴(kuò)展開源項(xiàng)目升級(jí)0.5版本-----支持向量+矩陣的實(shí)現(xiàn)范福杰博士&陳煒博士項(xiàng)目背景Background為了解決RISC-V在人工智能領(lǐng)域中指令碎片化
    的頭像 發(fā)表于 11-12 01:08 ?1048次閱讀
    希姆計(jì)算的RISC-<b class='flag-5'>V</b>矩陣擴(kuò)展開源項(xiàng)目<b class='flag-5'>已</b>升級(jí)<b class='flag-5'>至</b>0.5版本,支持向量+矩陣的實(shí)現(xiàn)

    JESD79-5C_v1.30-2024 內(nèi)存技術(shù)規(guī)范

    JESD79-5C_v1.30-2024 JEDEC DDR5 SOLID STATE TECHNOLOGY ASSOCIATION 最新內(nèi)存技術(shù)規(guī)范
    發(fā)表于 10-28 10:29 ?21次下載

    Vivado使用小技巧

    有時(shí)我們對(duì)時(shí)序約束進(jìn)行了一些調(diào)整,希望能夠快速看到對(duì)應(yīng)的時(shí)序報(bào)告,而又不希望重新布局布線。這時(shí),我們可以打開布線后的dcp,直接在Vivado Tcl Console里輸入更新后的時(shí)序約束。如果調(diào)整
    的頭像 發(fā)表于 10-24 15:08 ?436次閱讀
    <b class='flag-5'>Vivado</b>使用小技巧

    實(shí)時(shí)網(wǎng)絡(luò)的仿真和配置工具RTaW Pegase v4.6版本更新

    隨著嵌入式系統(tǒng)日益復(fù)雜,高效可靠的設(shè)計(jì)工具變得愈發(fā)重要。RTaW公司的仿真工具RTaW-Pegase最新發(fā)布的4.6版本,為用戶帶來了一系列重要更新和功能增強(qiáng)。本文將詳細(xì)介紹
    的頭像 發(fā)表于 09-26 08:07 ?302次閱讀
    實(shí)時(shí)網(wǎng)絡(luò)的仿真和配置<b class='flag-5'>工具</b>RTaW Pegase <b class='flag-5'>v</b>4.6版本<b class='flag-5'>更新</b>

    Vivado 2024.1版本的新特性(1)

    Vivado 2024.1正式發(fā)布,今天我們就來看看新版本帶來了哪些新特性。
    的頭像 發(fā)表于 09-18 10:30 ?1571次閱讀
    <b class='flag-5'>Vivado</b> 2024.1版本的新特性(1)

    微軟更新AI服務(wù)協(xié)議,強(qiáng)調(diào)AI工具輔助性并警示風(fēng)險(xiǎn)

    8月19日,針對(duì)近期圍繞AI工具準(zhǔn)確性問題的廣泛爭(zhēng)議,微軟宣布對(duì)其AI服務(wù)協(xié)議進(jìn)行了重要更新。此次更新不僅重申了AI工具的輔助性質(zhì),還特別指出了用戶在使用過程中需謹(jǐn)慎行事,避免將其視為
    的頭像 發(fā)表于 08-19 15:37 ?489次閱讀

    請(qǐng)問ESP8266模塊的MAC地址是怎么編寫的?

    問題的來龍去脈: 以前使用nonos v1.30版本的SDK,燒寫工具上提示的AP MAC和STA MAC,與程序中獲取到的MAC地址是一致的。 后來更換SDK的版本 nonos v
    發(fā)表于 07-12 15:35

    微軟發(fā)布智能辦公工具OfficePLUS V 3.0版本

    近日,微軟發(fā)布了智能辦公工具 OfficePLUS V 3.0 版本。全新升級(jí)的 OfficePLUS V 3.0 新增了 Excel 插件,繼發(fā)布的 PPT/Word 插件之后,
    的頭像 發(fā)表于 06-27 09:26 ?823次閱讀

    Vivado 使用Simulink設(shè)計(jì)FIR濾波器

    vivado工程 System Generator提供了幾種導(dǎo)入方法: 1、直接生成hdl網(wǎng)表文件。 生成的.v或.vhd文件直接例化dsp的IP核,用戶可直接將代碼文件添加自己的工程內(nèi)
    發(fā)表于 04-17 17:29

    微軟延遲 Windows 11 22H2 更新 6 月 26 日?

    據(jù)悉,微軟依照慣例于每月第四周推送可選更新,該更新內(nèi)容會(huì)下月合并補(bǔ)丁星期二活動(dòng)日的累計(jì)更新中,鼓勵(lì)用戶進(jìn)行修復(fù)和功能測(cè)試。
    的頭像 發(fā)表于 03-28 14:34 ?643次閱讀

    和美精藝IPO狀態(tài)更新問詢

    2024年1月25日,深圳和美精藝半導(dǎo)體科技股份有限公司(以下簡(jiǎn)稱“和美精藝”)在上交所科創(chuàng)板的上市審核狀態(tài)更新為“問詢”。該公司自2007年成立以來,始終專注于IC封裝基板的研發(fā)、生產(chǎn)及銷售,是國(guó)內(nèi)少數(shù)幾家全面掌握自主可控
    的頭像 發(fā)表于 02-29 16:40 ?1323次閱讀

    谷歌宣布停止&quot;非A/B&quot;更新支持,三星等廠商面臨更新的調(diào)整

    對(duì)于熟悉谷歌Pixel手機(jī)的消費(fèi)者來說,“無縫更新”并不陌生。利用活動(dòng)分區(qū)和非活動(dòng)分區(qū)執(zhí)行后臺(tái)更新,當(dāng)更新完畢后進(jìn)行重啟,手機(jī)會(huì)自動(dòng)切換
    的頭像 發(fā)表于 02-22 09:51 ?582次閱讀

    關(guān)于MounRiverStudio的改善

    的hex文件進(jìn)行燒錄。 我發(fā)郵件咨詢過MRS的技術(shù)支持,說是下版本的燒錄功能會(huì)更加自動(dòng)化,一個(gè)工程配置一次就可以一鍵燒錄最新輸出文件了。 不過現(xiàn)在MRS v1.30版本更新了,燒錄功能終于優(yōu)化了。
    發(fā)表于 02-12 20:33
    国际娱百家乐官网的玩法技巧和规则| 金濠娱乐城| 百家乐官网真人百家乐官网赌博| 大发888娱乐城送58| 澳门百家乐玩大小| 娱乐城官方网站| 百家乐AG| 百家乐官网如何切牌好| 澳门百家乐官网如何算牌| 大发888扑克官方下载| 百家乐技巧在那里| 百家乐官网怎么才能| 任你博百家乐官网现金网| 玛多县| 百家乐是个什么样的游戏| 喜达百家乐官网的玩法技巧和规则| 上高县| 姚记百家乐的玩法技巧和规则 | 博彩通排名| 二八杠网站| 网上百家乐靠谱吗| 龙博百家乐官网的玩法技巧和规则 | 百家乐官网五湖四海娱乐| 百家乐官网赌博凯时娱乐| 慈溪市| 抚松县| 京山县| 百家乐官网高人玩法| 皇冠平台| ican博彩通| 大发888怎么开户| 南京百家乐赌博现场被抓| 阳宅24方位座向| 百家乐官网是娱乐场| 路虎百家乐官网的玩法技巧和规则 | 博彩网皇冠| 百家乐破解| 财神真人娱乐城| 林西县| 上海玩百家乐官网算不算违法| 百家乐官网咋个玩的|